Аналого-цифровое преобразование сигналов.Теория цифровой обработки видеоизображения. Аналого-цифровое преобразование для начинающих


6. Аналого-цифровое преобразование сигналов.

Для преобразования любого аналогового сигнала (звука, изображения) в цифровую форму необходимо выполнить три основные операции: дискретизацию, квантование и кодирование.

Дискретизация -

представление непрерывного аналогового сигнала последовательностью его значений (отсчетов). Эти отсчеты берутся в моменты времени, отделенные друг от друга интервалом, который называется интервалом дискретизации. Величину, обратную интервалу между отсчетами, называют частотой дискретизации. На рис. 1 показаны исходный аналоговый сигнал и его дискретизированная версия. Картинки, приведенные под временными диаграммами, получены в предположении, что сигналы являются телевизионными видеосигналами одной строки, одинаковыми для всего телевизионного растра.


Рис.1 Аналого-цифровое преобразование. Дискретизация.

Понятно, что чем меньше интервал дискретизации и, соответственно, выше частота дискретизации, тем меньше различия между исходным сигналом и его дискретизированной копией. Ступенчатая структура дискретизированного сигнала может быть сглажена с помощью фильтра нижних частот. Таким образом и осуществляется восстановление аналогового сигнала из дискретизированного. Но восстановление будет точным только в том случае, если частота дискретизации по крайней мере в 2 раза превышает ширину полосы частот исходного аналогового сигнала (это условие определяется известной теоремой Котельникова). Если это условие не выполняется, то дискретизация сопровождается необратимыми искажениями. Дело в том, что в результате дискретизации в частотном спектре сигнала появляютсся дополнительные компоненты, располагающиеся вокруг гармоник частоты дискретизации в диапазоне, равном удвоенной ширине спектра исходного аналогового сигнала. Если максимальная частота в частотном спектре аналогового сигнала превышает половину частоты дискретизации, то дополнительные компоненты попадают в полосу частот исходного аналогового сигнала. В этом случае уже нельзя восстановить исходный сигнал без искажений. Теория дискретизации приведена во многих книгах.



Рис.2 Аналого-цифровое преобразование. Искажение дискретизации.

Пример искажений дискретизации приведен на рис. 2. Аналоговый сигнал (предположим опять, что это видеосигнал ТВ строки) содержит волну, частота которой сначала увеличивается от 0,5 МГц до 2,5 МГц, а затем уменьшается до 0,5 МГц. Этот сигнал дискретизируется с частотой 3 МГц. На рис. 2 последовательно приведены изображения: исходный аналоговый сигнал, дискретизированный сигнал, восстановленный после дискретизации аналоговый сигнал. Восстанавливающий фильтр нижних частот имеет полосу пропускания 1,2 МГц. Как видно, низкочастотные компоненты (меньше 1 МГц) восстанавливаются без искажений. Волна с частотой 1,5 МГц исчезает и превращается в относительно ровное поле. Волна с частотой 2,5 МГц после восстановления превратилась в волну с частотой 0,5 МГц (это разность между частотой дискретизации 3 МГц и частотой исходного сигнала 2,5 МГц). Эти диаграммы-картинки иллюстрируют искажения, связанные с недостаточно высокой частотой пространственной дискретизации изображения. Если объект телевизионной съемки представляет собой очень быстро движущийся или, например, вращающийся предмет, то могут возникать и искажения дискретизации во временной области. Примером искажений, связанных с недостаточно высокой частотой временной дискретизации (а это частота кадров телевизионного разложения), является картина быстро движущегося автомобиля с неподвижными или, например, медленно вращающимися в ту или иную сторону спицами колеса (стробоскопический эффект).Если частота дискретизации установлена, то искажения дискретизации отсутствуют, когда полоса частот исходного сигнала ограничена сверху и не превышает половины частоты дискретизации.

Если потребовать, чтобы в процессе дискретизации не возникало искажений ТВ сигнала с граничной частотой, например, 6 МГц, то частота дискретизации должна быть не меньше 12 Мгц. Однако, чем ближе частота дискретизации к удвоенной граничной частоте сигнала, тем труднее создать фильтр нижних частот, который используется при восстановлении, а также при предварительной фильтрации исходного аналогового сигнала. Это объясняется тем, что при приближении частоты дискретизации к удвоенной граничной частоте дискретизируемого сигнала предъявляются все более жесткие требования к форме частотных характеристик восстанавливающих фильтров - она все точнее должна соответствовать прямоугольной характеристике. Следует подчеркнуть, что фильтр с прямоугольной характеристикой не может быть реализован физически. Такой фильтр, как показывает теория, должен вносить бесконечно большую задержку в пропускаемый сигнал. Поэтому на практике всегда существует некоторый интервал между удвоенной граничной частотой исходного сигнала и частотой дискретизации.

Квантование

представляет собой замену величины отсчета сигнала ближайшим значением из набора фиксированных величин - уровней квантования. Другими словами, квантование - это округление величины отсчета. Уровни квантования делят весь диапазон возможного изменения значений сигнала на конечное число интервалов - шагов квантования. Расположение уровней квантования обусловлено шкалой квантования. Используются как равномерные, так и неравномерные шкалы. На рис. 3 показаны исходный аналоговый сигнал и его квантованная версия, полученная с использованием равномерной шкалы квантования, а также соответствующие сигналам изображения.

Аналого-цифровой преобразователь - это устройство, предназначенное для преобразования непрерывно-изменяющейся во времени физической величины в эквивалентные ей значения цифровых кодов. В качестве аналоговой величины может быть напряжение, ток, угловое перемещение, давление газа и т.д.

Процесс аналого-цифрового преобразования предполагает последовательное выполнение следующих операций (рис.13.5):

Выборку значений исходной аналоговой величины в некоторые заданные моменты времени, т.е. дискретизация сигнала во времени,

Квантование (округление преобразуемой величины до некоторых известных величин) полученной в дискретные моменты времени значения аналоговой величины по уровню,

Кодирование - замена найденных квантовых значений некоторыми числовыми кодами .

Рис. 13.5. Принцип аналого-цифрового преобразования.

Погрешность интегрирующего АЦП определяется, в основном, изменением наклона пилообразного напряжения, которое определяется постоянной времени RC интегратора (генератора пилообразного напряжения). Под воздействием внешних дестабилизирующих факторов, особенно температуры, постоянная времени, а следовательно, и наклон пилообразного напряжения меняется, что приводит к значительным погрешностям преобразования. Поэтому в настоящее время для построения интегрирующих АЦП используют принцип двойного интегрирования.

Принцип работы АЦП двойного интегрирования заключается в том, что сначала в течении некоторого фиксированного временного интервала Т 1 интегрируется аналоговая преобразуемая величина U x , а затем интегрируется эталонное (опорное) напряжение противоположной полярности U оп. Временной интервал Т 2 пропорционален преобразуемой величине U x .

Рис 13.11. Структурная схема АЦП двойного интегрирования (а) и временная диаграмма его работы (б) Действительно в течении интервала времени Т 1 напряжение на выходе интегратора изменяется по линейному закону:

В течении интервала времени Т 2 выходное напряжение на выходе интегратора изменяется от Uвых.инт.мах до 0, т.е.

Следовательно,

Таким образом интервал времени Т 2 зависит от постоянной величины Т 1 /U оп и переменной U х и не зависит от параметров интегратора. В этом можно убедиться на графике, приведенном на рис.13.12.

Рис 13.12. Напряжение на выходе интегратора при постоянной времени τ 1 = R 1 * C 1 (1) и при τ 2 = R 2 * C 2 (1) АЦП двойного интегрирования обеспечивает высокую точность преобразования в условиях промышленных помех в широком интервале температур и широко используется в измерительной технике и автоматизированных системах управления.

Например, основу всех мультиметров составляет АЦП двойного интегрирования, выполненная на микросхеме К572ПВ2 или К572ПВ5. ИС практически одинаковые, но первая работает на светодиодные индикаторы, а вторая - на жидкокристаллические индикаторы.

Микросхема К572ПВ2 совместно с источником опорного напряжения, несколькими резисторами и конденсаторами выполняет функции АЦП двойного интегрирования с автоматической установкой нуля ОУ и определением полярности входного сигнала.

Основные технические параметры ИС:

Разрядность - 3,5 десятичных разряда,

Входное сопротивление - 50Мом,

Входное напряжение - ±1,999Uоп(В),

Быстродействие - (2-9)Гц,

Потребляемый ток - 1,8 мА

Напряжение питания - 9В.

Рис 13.13. ИС К572ПВ2 (а) и выходное напряжение на выходе генератора (б)

Работа ИС происходит под воздействием тактовых импульсов f ти внутреннего генератора импульсов в три этапа:

На первом этапе Т 1 , длительностью 4000 периодов f ти, происходит интегрирование напряжения U x ,

На втором этапе, длительностью от 0 до 8000 периодов, f ти происходит интегрирование опорного напряжения U оп и

На третьем этапе, длительностью от 4000 до 12000 периодов f ти, происходит автоматическая установка нуля ОУ.

Весь цикл преобразования занимает 16000 тактов.

Многоканальные АЦП широко используются для преобразования нескольких однотипных аналоговых величин. Такие АЦП включают в себя аналоговый коммутатор и один из рассмотренных выше АЦП.

Рис 13.14. Многоканальный АЦП

Преобразование происходит последовательно параметр за параметром. Аналоговый коммутатор поочередно подключает на вход АЦП через усилитель все входные сигналы.

Лекция №3

«Аналого-цифровое и цифро-аналоговое преобразование».

В микропроцессорных системах роль импульсного элемента выполняет аналого-цифровой преобразователь (АЦП), а роль экстраполятора – цифро-аналоговый преобразователь (ЦАП).

Аналого-цифровое преобразование заключается в преобразовании информации, содержащейся в аналоговом сигнале, в цифровой код. Цифро-аналоговое преобразование призвано выполнять обратную задачу, т.е. преобразовывать число, представленное в виде цифрового кода, в эквивалентный аналоговый сигнал.

АЦП, как правило, устанавливаются в цепях обратных связей цифровых систем управления для преобразования аналоговых сигналов обратных связей в коды, воспринимаемые цифровой частью системы. Т.о. АЦП выполняют несколько функций, таких как: временная дискретизация, квантование по уровню, кодирование. Обобщенная структурная схема АЦП представлена на рис.3.1.


На вход АЦП подается сигнал в виде тока или напряжения, который в процессе преобразования квантуется по уровню. Идеальная статическая характеристика 3-разрядного АЦП приведена на рис.3.2.


Входные сигналы могут принимать любые значения в диапазоне от – U max до U max , а выходные соответствуют восьми (2 3) дискретным уровням. Величина входного напряжения, при которой происходит переход от одного зачения выходного кода АЦП к другому соседнему значению, называется напряжением межкодового перехода . Разность между двумя смежными значениями межкодовых переходов называется шагом квантования или единицей младшего значащего разряда (МЗР) .Начальной точкой характеристики преобразования называется точка, определяемая значением входного сигнала, определяемого как

(3.1),

где U 0,1 – напряжение первого межкодового перехода, U LSB – шаг квантования (LSB – Least Significant Bit ). преобразования соответствует входному напряжению, определяемому соотношением

(3.2).

Область значений входного напряжения АЦП, ограниченная значениями U 0,1 и U N-1,N называется диапазоном входного напряжения .

(3.3).

Диапазон входного напряжения и величину младшего разряда N -разрядного АЦП и ЦАП связывает соотношение

(3.4).

Напряжение

(3.5)

называется напряжением полной шкалы (FSR – Full Scale Range ). Как правило, этот параметропределяется уровнем выходного сигнала источника опорного напряжения, подключенного к АЦП. Величина шага квантования или единицы младшего разряда т.о. равна

(3.6),

а величина единицы старшего значащего разряда

(3.7).

Как видно из рис.3.2, в процессе преобразования возникает ошибка, не превышающая по величине половины величины младшего разряда U LSB /2.

Существуют различные методы аналого-цифрового преобразования, различающиеся между собой по точности и быстродействию. В большинстве случаев эти характеристики антогонистичны друг другу. В настоящее время большое распространение получили такие типы преобразователей как АЦП последовательных приближений (поразрядного уравновешивания), интегрирующие АЦП, параллельные (Flash ) АЦП, «сигма-дельта» АЦП и др.

Структурная схема АЦП последовательных приближений представлена на рис.3.3.



Основными элементами устройства являются компаратор (К), цифро-аналоговый преобразователь (ЦАП) и схема логического управления. Принцип преобразования основан на последовательном сравнении уровня входного сигнала с уровнями сигналов соответствующих различным комбинациям выходного кода и формировании результирующего кода по результатам сравнений. Очередность сравниваемых кодов удовлетворяет правилу половинного деления. В начале преобразования входной код ЦАП устанавливается в состояние, в котором все разряды кроме старшего равны 0, а старший равен 1. При этой комбинации на выходе ЦАП формируется напряжение, равное половине диапазона входного напряжения. Это напряжение сравнивается со входным напряжением на компараторе. Если входной сигнал больше сигнала, поступающего с ЦАП, то старший разряд выходного кода устанавливается в 1, в противном случае он сбрасывается в 0. На следующем такте частично сформированный таким образом код снова поступает на вход ЦАП, в нем устанавливается в единицу следующий разряд и сравнение повторяется. Процесс продолжается до сравнения младшего бита. Т.о. для формирования N -разрядного выходного кода необходимо N одинаковых элементарных тактов сравнения. Это означает, что при прочих равных условиях быстродействие такого АЦП уменьшается с ростом его разрядности. Внутренние элементы АЦП последовательных приближений (ЦАП и компаратор) должны обладать точностными показателями лучше величины половины младшего разряда АЦП.

Структурная схема параллельного (Flash ) АЦП представлена на рис.3.4.



В этом случае входное напряжение подается для сравнения на одноименные входы сразу N -1 компараторов. На противоположные входы компараторов подаются сигналы с высокоточного делителя напряжения, который подключен к источнику опорного напряжения. При этом напряжения с выходов делителя равномерно распределены вдоль всего диапазона изменения входного сигнала. Шифратор с приоритетом формирует цифровой выходной сигнал, соответствующий самому старшему компаратору с активизированным выходным сигналом. Т.о. для обеспечения N -разрядного преобразования необходимо 2 N резисторов делителя и 2 N -1 компаратор. Это один из самых быстрых способов преобразования. Однако, при большой разрядности он требует больших аппаратных затрат. Точность всех резисторов делителя и компараторов снова должна быть лучше половины величины младшего разряда.

Структурная схема АЦП двойного интегрирования представлена на рис.3.5.



Основными элементами системы являются аналоговый коммутатор, состоящий из ключей SW 1, SW 2, SW 3, интегратор И, компаратор К и счетчик С. Процесс преобразования состоит из трех фаз (рис.3.6).



На первой фазе замкнут ключ SW 1, а остальные ключи разомкнуты. Через замкнутый ключ SW 1 входное напряжение подается на интегратор, который в течение фиксированного интервала времени интегрирует входной сигнал. По истечение этого интервала времени уровень выходного сигнала интегратора пропорционален значению входного сигнала. На втором этапе преобразования ключ SW 1 размыкается, а ключ SW 2 замыкается, и на вход интегратора подается сигнал с источника опорного напряжения. Конденсатор интегратора разряжается от напряжения, накопленного в первом интервале преобразования с постоянной скоростью, пропорциональной опорному напряжению. Этот этап длится до тех пор, пока выходное напряжение интегратора не упадет до нуля, о чем свидетельствует выходной сигнал компаратора, сравнивающего сигнал интегратора с нулем. Длительность второго этапа пропорциональна входному напряжению преобразователя. В течение всего второго этапа на счетчик помтупают высокочастотные импульсы с калиброванной частотой. Т.о. по истечению второго этапа цифровые показания счетчика пропорциональны входному напряжению. С помощью данного метода можно добиться очень хорошей точности не предъявляя высоких требований к точности и стабильности компонентов. В часности, стабильность емкости интегратора может быть не высокой, поскольку циклы заряда и разряда происходят со скоростью, обратно пропорциональной емкости. Болле того, ошибки дрейфа и смещения компарптора компенсируются благодаря тому, что каждый этап преобразования начинается и заканчивается на одном и том же напряжении. Для повышения точности используется третий этап преобразования, когда на вход интегратора через ключ SW 3 подается нулевой сигнал. Поскольку на этом этапе используется тот же интегратор и компаратор, то вычитание выходного значения ошибки при нуле из результата последующего измерения позволяет компенсировать ошибки, связанные с измерениями вблизи нуля. Жесткие требования не предъявляются даже к частоте тактовых импульсов, поступающих на счетчик, т.к. фиксированный интервал времени на первом этапе преобразования формируется из тех же самых импульсов. Жесткие требования предъявляются только к току разряда, т.е. к источнику опорного напряжения. Недостатком такого способа преобразования является невысокое быстродействие.

АЦП характеризуютя рядом параметров, позволяющих реализовать выбор конкретного устройства исходя из требований, предъявляемых к системе. Все параметры АЦП можно разделить на две группы: статические и динамические. Первые определяют точностные характеристики устройства при работе с неизменяющимся либо медленно изменяющимся входным сигналом, а вторые характеризуют быстродействие устройства как сохранение точности при увеличении частоты входного сигнала.

Уровню квантования, лежащему в окрестностях нуля входного сигнала соответствуют напряжения межкодовых переходов –0.5 U LSB и 0.5 U LSB (первый имеет место только в случае биполярного входного сигнала). Однако, в реальных устройствах, напряжения данных межкодовых переходов могут отличаться от этих идеальных значений. Отклонение реальных уровней этих напряжениймежкодовых переходов от их идеальных значений называется ошибкой биполярного смещения нуля (Bipolar Zero Error ) и ошибкой униполярного смещения нуля (Zero Offset Error ) соответственно. При биполярных диапазонах преобразования обычно используют ошибку смещения нуля, а при униполярных – ошибку униполярного смещения. Эта ошибка приводит к параллельному смещению реальной характеристики преобразования относительно идеальной характеристики вдорль оси абсцисс (рис.3.7).


Отклонение уровня входного сигнала соответствующего последнему межкодовому переходу от своего идеального значения U FSR -1.5 U LSB , называется ошибкой полной шкалы (Full Scale Error ).

Коэффициентом преобразования АЦП называется тангенс угла наклона прямой, проведенной через начальную и конечную точки реальной характеристики преобразования. Разность между действительным и идеальным значением коэффициента преобразования называется ошибкой коэффициента преобразования (Gain Error ) (рис.3.7).Она включает ошибки на концах шкалы, но не включает ошибки нуля шкалы. Для униполярного диапазона она определяется как разность между ошибкой полной шкалы и ошибкой униполярного смещения нуля, а для биполярного диапазона – как разность между ошибкой полной шкалы и ошибкой биполярного смещения нуля. По сути дела в любом случае это отклонение идеального расстояния между последним и первым межкодовыми переходами (равного U FSR -2 U LSB ) от его реального значения.

Ошибки смещения нуля и коэффициента преобразования можно скомпенсировать подстройкой предварительного усилителя АЦП. Для этого необходимо иметь вольтметр с точностью не хуже 0.1 U LSB . Для независимости этих двух ошибок сначала корректируют ошибку смещения нуля, а затем, ошибку коэффициента преобразования. Для коррекции ошибки смещения нуля АЦП необходимо:

1. Установить входное напряжение точно на уровне 0.5 U LSB ;

2. Подстраивать смещение предварительного усилителя АЦП до тех пор, пока АЦП не переключится в состояние 00…01.

Для коррекции ошибки коэффициента преобразования необходимо:

1. Установить входное напряжение точно на уровне U FSR -1.5 U LSB ;

2. Подстраивать коэффициент усиления предварительного усилителя АЦП до тех пор, пока АЦП не переключится в состояние 11…1.

Из-за не идеальности элементов схемы АЦП ступеньки в различных точках характеристики АЦП отличаются друг от друга по величине и не равны U LSB (рис.3.8).


Отклонение расстояния между серединами двух соседних реальных шагов квантования от идеального значения шага квантования U LSB называется дифференциальной нелинейностью (DNL – Differential Nonlinearity). Если DNL больше или равна U LSB , то у АЦП могут появиться так называемые “пропущенные коды” (рис.3.3). Это влечет локальное резкое изменение коэффициента передачи АЦП, что в замкнутых системах управления может привести к потере устойчивости.

Для тех приложений, где важно поддерживать выходной сигнал с заданной точностью, важно на солько точно выходные коды АЦП соответствуют напряжениям межкодовых переходов. Максимальное отклонение центра шага квантования на реальной характеристике АЦП от линеаризованной характеристики называется интегральной нелинейностью (INL – Integral Nonlinearity) или относительной точностью (Relative Accuracy) АЦП (рис.3.9).


Линеаризованная характеристика проводится через крайние точки реальной характеристики преобразования, после того, как они были откалиброваны, т.е. устранены ошибки смещения нуля и коэффициента преобразования.

Ошибки дифференциальной и интегральной нелинейности скомпенсировать простыми средствами практически невозможно.

Разрешающей способностью АЦП (Resolution ) называется величина, обратная максимальному числу кодовых комбинаций на выходе АЦП

(3.8).

Этот параметр определяет какой минимальный уровень входного сигнала (относительно сигнала полной амплитуды) способен воспринимать АЦП.

Точность и разрешающая способность – две независимые характеристики. Разрешающая способность играет определяющую роль тогда, когда важно обеспечить заданный динамический диапазон входного сигнала. Точность является определяющей, когда требуется поддерживать регулируемую величину на заданном уровне с фиксированной точностью.

Динамическим диапазоном АЦП (DR - Dinamic Range ) называется отношение максимального воспринимаемого уровня входного напряжения к минимальному, выраженное в дБ

(3.9).

Этот параметр определяет максимальное количество информации, которое способен передавать АЦП. Так, для 12-разрядного АЦП DR =72 дБ.

Характеристики реальных АЦП отличаются от характеристик идеальных устройств из-за неидеальности элементов реального устройства. Рассмотрим некоторые параметры, характеризующие реальные АЦП.

Отношением сигнал-шум (SNR – Signal to Noise Ratio ) называется отношение среднеквадратического значения входного синусоидального сигнала к среднеквадратическому значению шума, который определяется как сумма всех остальных спектральных компонент вплоть до половины частоты дискретизации, без учета постоянной составляющей. Для идеального N -разрядного АЦП, который генерирует лишь шум квантования SNR , выражаемый в децибелах, можно определить как


(3.10),

где N – разрядность АЦП. Так, для 12-разрядного идеального АЦП SNR =74 дБ. Это значение больше значения динамического диапазона такого же АЦП т.к. минимальный уровень воспринимаемого сигнала должен быть больше уровня шума. В данной формуле учитывается только шум квантования и не учитываются другие источники шума, существующие в реальных АЦП. Поэтому, значения SNR для реальных АЦП как правило ниже идеального. Типичным значением SNR для реального 12-разрядного АЦП является 68-70 дБ.

Если входной сигнал имеет размах меньше U FSR , то в последнюю формулу нужно внести корректировку

(3.11),

где К ОС – ослабление входного сигнала, выраженное в дБ. Так, если входной сигнал 12-разрядного АЦП имеет амплитуду в 10 раз меньше половины напряжения полной шкалы, то К ОС =-20 дБ и SNR =74 дБ – 20 дБ=54 дБ.

Значение реального SNR может быть использовано для определения эффективного количества разрядов АЦП (ENOB – Effective Number of Bits ). Оно определяется по формуле

(3.12).

Этот показатель может характеризовать действительную решающую способность реального АЦП, Так, 12-разрядный АЦП, у которого SNR =68 дБ для сигнала с К ОС =-20 дБ является на самом деле 7-разрядным (ENOB =7.68). Значение ENOB сильно зависит от частоты входного сигнала, т.е. эффективная разрядность АЦП падает с увеличением частоты.

Суммарный коэффициент гармоник (THD – Total Harmonic Distortion ) – это отношение суммы среднеквадратических значений всех высших гармоник к среднеквадратическому значению основной гармоники

(3.13),

где n обычно ограничивают на уровне 6 или 9. Этот параметр характеризует уровень гармонических искажений выходного сигнала АЦП по сравнения с входным. THD возрастает с частотой входного сигнала.

Полоса частот полной мощности (FPBW – Full Power Bandwidth ) – это максимальная частота входного сигнала с размахом, равным полной шкале, при которой амплитуда восстановленной основной составляющей уменьшается не более чем на 3 дБ. С ростом частоты входного сигнала аналоговые цепи АЦП перестают успевать отрабатывать его изменения с заданной точностью, что приводит к уменьшению коэффициента преобразования АЦП на высоких частотах.

Время установления (Settling Time ) – это время, необходимое АЦП для достижения номинальной точности после того, как на ее вход был подан ступенчатый сигнал с амплитудой, равной полному диапазонувходного сигнала. Этот параметр ограничен из-за конечного быстродействия различных узлов АЦП.

Вследствие различного рода погрешностей характеристика реального АЦП является нелинейной. Если на вход устройства с нелинейностями подать сигнал, спектр которого состоит из двух гармоник f a и f b , то в спектре выходного сигнала такого устройства кроме основных гармоник будут присутствовать интермодуляционные субгармоники с частотами , где m , n =1,2,3,… Субгармоники второго порядка – это f a + f b , f a - f b , субгармоники третьего порядка – это 2 f a + f b , 2 f a - f b , f a +2 f b , f a -2 f b . Если входные синусоиды имеют близкие частоты, расположенные вблизи верхнего края полосы пропускания, то субгармоники второго порядка далеко отстоят от входных синусоид и располагаются в области нижних частот, тогда как субгармоники третьего порядка имеют частоты, близкие к входным частотам.

Коэффициент интермодуляционных искажений (Intermodulatin Distortion ) – это отношение суммы среднеквадратических значений интермодуляционных субгармоник определенного порядка к сумме среднеквадратических значений основных гармоник, выраженное в дБ

(3.14).

Любой способ аналого-цифрового преобразования требует некоторого конечного времени для его выполнения. Под временем преобразования АЦП (Conversion Time ) понимается интервал времени от момента поступления аналогового сигнала на вход АЦП до момента появления соответствующего выходного кода. Если входной сигнал АЦП изменяется во времени, то конечное время преобразования АЦП приводит к появлению т.н. аппертурной погрешности (рис.3.10).



Сигнал начала преобразования поступает в момент t 0 , а выходной код появляется в момент t 1 . За это время входной сигнал успел измениться на величину D U . Возникает неопределенность: какому уровню значения входного сигнала в диапазоне U 0 – U 0 + D U соответствует данный выходной код. Для сохранения точности преобразования на уровне единицы младшего разряда необходимо чтобы за время преобразования изменение значения сигнала на входе АЦП составило бы не более величины единицы младшего разряда

(3.15).

Изменение уровня сигнала за время преобразования можно приблизительно вычислить как

(3.16),

где U in – входное напряжение АЦП, T c – время преобразования. Подставляя (3.16) в (3.15) получим

(3.17).

Если на входе действует синусоидальный сигнал с частотой f

(3.18),

то его производная будет равна

(3.19).

Она принимает максимальное значение когда косинус равен 1. Подставляя с учетом этого (3.9) в (3.7) получим

, или

(3.20)

Конечное время преобразования АЦП приводит к требованию ограничения скорости изменения входного сигнала. Для того, чтобы уменьшить апертурную погрешность и т.о. ослабить ограничение на скорость изменения входного сигнала АЦП на входе преобразователя устанавливается т.н. «устройство выборки-хранения» (УВХ) (Track / Hold Unit ). Упрощенная схема УВХ представлена на рис.3.11.



Это устройство имеет два режима работы: режим выборки и режим фиксации. Режим выборки соответствует замкнутому состоянию ключа SW . В этом режиме выходное напряжение УВХ повторяет его входное напряжение. Режим фиксации включается по команде размыкающей ключ SW . При этом связь между входом и выходом УВХ прерывается, а выходной сигнал поддерживается на постоянном уровне, соответствующем уровню входного сигнала на момент поступления команды фиксации за счет заряда, накопленного на конденсаторе. Т.о., если подать команду фиксации непосредственно перед началом преобразования АЦП, то выходной сигнал УВХ будет поддерживаться на неизменном уровне в течение всего времени преобразования. После окончания преобразования УВХ снова переводится в режим выборки. Работа реального УВХ несколько отличается от идеального случая, который был описан (рис.3.12).



(3.21),

где f – частота входного сигнала, t A – величина апертурной неопределенности.

В реальных УВХ выходной сигнал не может оставаться абсолютно неизменным в течение конечного времени преобразования. Конденсатор будет постепенно разряжаться маленьким входным током выходного буфера. Для сохранения требуемой точности необходимо чтобы за время преобразования заряд конденсатора не изменился больше чем на 0.5 U LSB .

Цифро-аналоговые преобразователи устанавливаются обычно на выходе микропроцессорной системы для преобразования ее выходных кодов в аналоговый сигнал, подаваемый на непрерывный объект регулирования. Идеальная статическая характеристика 3-разрядного ЦАП представлена на рис.3.13.


Начальная точка характеристики определяетсякак точка, соответствующая первому (нулевому) входному коду U 00…0 . Конечная точка характеристики определяетсякак точка, соответствующая последнему входному коду U 11…1 . Определения диапазона выходного напряжения, единицы младшего разряда квантования, ошибки смещения нуля, ошибки коэффициента преобразования аналогичны соответствующим характеристикам АЦП.

С точки зрения структурной организации у ЦАП наблюдается гораздо меньшее разнообразие вариантов построения преобразователя. Основной структурой ЦАП является т.н. “цепная R -2 R схема” (рис.3.14).



Легко показать, что входной ток схемы равен I in = U REF / R , а токи последовательных звеньев цепи соответственно I in /2, I in /4, I in /8 и т.д. Для преобразования входного цифрового кода в выходной ток достаточно собрать все токи плечей, соответствующих единицам во входном коде, в выходной точке преобразователя (рис.3.15).



Если к выходной точке преобразователя подключить операционный усилитель, то выходное напряжение можно определить как

(3.22),

где K – входной цифровой код, N – разрядность ЦАП.

Все существующие ЦАП делятся на две больших группы: ЦАП с выходом по току и ЦАП с выходом по напряжению. Различие между ними заключается в отсутствии или наличии у микросхемы ЦАП оконечного каскада на операционном усилителе. ЦАП с выходом по напряжению являются более завершенными устройствами и требуют меньше дополнительных элементов для своей работы. Однако, оконечный каскад наряду с параметрами лесничной схемы определяет динамические и точностные параметры ЦАП. Выполнить точный быстродействующий операционный усилитель на одном кристалле с ЦАП часто бывает затруднительно. Поэтому большинство быстродействующих ЦАП имеют выход по току.

Дифференциальная нелинейность для ЦАП определяется как отклонение расстояния между двумя соседними уровнями выходного аналогового сигнала от идеального значения U LSB . Большое значение дифференциальной нелинейности может привести к тому, что ЦАП станет немонотонным. Это означает, что увеличение цифрового кода будет приводить к уменьшению выходного сигнала на каком нибудь участке характеристики (рис.3.16). Это может приводить к нежелательной генерации в системе.


Интегральная нелинейность для ЦАП определяется как наибольшее отклонение уровня аналогового выходного сигнала от прямой линии, проведенной через точки, соответствующие первому и последнему коду, после того, как они отрегулированы.

Время установления ЦАП определяется как время, за которое выходной сигал ЦАП установится на заданном уровне с погрешностью не более 0.5 U LSB после того, как входной код изменился со значения 00…0 до значения 11…1. Если ЦАП имеет входные регистры, то определенная часть времени установления обусловлена фиксированной задержкой прохождения цифровых сигналов, и лишь оставшаяся часть – инерционностью самой схемы ЦАП. Поэтому время установления измеряют обычно не от момента поступления нового кода на вход ЦАП, а от момента начала изменения выходного сигнала, соответствующего новому коду, до момента установления выходного сигнала с точностью 0.5U LSB (рис.3.17) .



В этом случае время установления определяет максимальную частоту стробирования ЦАП

(3.23),

где t S – время установления.

Входные цифровые цепи ЦАП имеют конечное быстродействие. В добавок, скорость распространения сигналов, соответствующих различным разрядом входного кода, неодинакова вследствие разброса параметров элементов и схемных особенностей. В результате этого плечи лестничной схемы ЦАП при поступлении нового кода переключаются не синхронно, а с некоторой задержкой один относительно другого. Это приводит к тому, что в диаграмме выходного напряжения ЦАП, при переходе от одного установившегося значения к другому наблюдаются выбросы различной амплитуды и направленности (рис.3.18).




Согласно алгоритму работы, ЦАП представляет из себя экстраполятор нулевого порядка, частотная характеристика которого может быть представлена выражением

(3.24),

где w s – частота дискретизации. Амплитудно-частотная характеристика ЦАП представлена на рис.3.20.



Как видно, на частоте 0.5 w s восстанавливаемый сигнал ослабляется на 3.92 дБ по сравнению с низкочастотными составляющими сигнала. Таким образом, имеет место небольшое искажение спектра восстанавливаемого сигнала. В большинстве случаев это небольшое искажение не сказывается значительно на параметрах системы. Однако, в тех случаях, когда необходима повышенная линейность спектральных характеристик системы (например в системах обработки звука), для выравнивания результирующего спектра на выходе ЦАП необходимо ставить специальный восстанавливающий фильтр с частотной характеристикой типа x / sin (x ).

Давайте рассмотрим основной спектр вопросов, которые можно отнести к принципу действия разных типов. Последовательный счет, поразрядное уравновешивание - что скрывается за этими словами? В чем заключается принцип работы АЦП микроконтроллера? Эти, а также ряд других вопросов мы рассмотрим в рамках статьи. Первые три части мы посвятим общей теории, а с четвертого подзаголовка будем изучать принцип их работы. Вы можете в различной литературе встречать термины АЦП и ЦАП. Принцип работы этих устройств немного различается, поэтому не путайте их. Так, в статье будет рассматриваться из аналоговой формы в цифровую, в то время как ЦАП работает наоборот.

Определение

Прежде чем рассматривать принцип работы АЦП, давайте узнаем, что это за устройство. Аналого-цифровые преобразователи являются приборами, которые физическую величину превращают в соответствующее числовое представление. В качестве начального параметра может выступать практически всё что угодно - ток, напряжение, емкость, сопротивление, угол поворота вала, частота импульсов и так далее. Но чтобы иметь определённость, мы будем работать только с одним преобразованием. Это "напряжение-код". Выбор такого формата работы не случаен. Ведь АЦП (принцип работы этого устройства) и его особенности в значительной мере зависят от того, какое понятие измерения используется. Под этим понимают процесс сравнения определённой величины с ранее установленным эталоном.

Характеристики АЦП

Основными можно назвать разрядность и частоту преобразования. Первую выражают в битах, а вторую - в отсчетах на секунду. Современные аналого-цифровые преобразователи могут обладать разрядностью 24 бита или скоростью преобразования, которая доходит до единиц GSPS. Обратите внимание, что АЦП может одновременно предоставлять вам в использование только одну свою характеристику. Чем большие их показатели, тем сложнее работать с устройством, да и оно само стоит дороже. Но благо можно получить необходимые показатели разрядности, пожертвовав скоростью работы прибора.

Типы АЦП

Принцип работы разнится у различных групп устройств. Мы рассмотрим следующие типы:

  1. С прямым преобразованием.
  2. С последовательным приближением.
  3. С параллельным преобразованием.
  4. Аналого-цифровой преобразователь с балансировкой заряда (дельта-сигма).
  5. Интегрирующие АЦП.

Есть много других конвейерных и комбинированных типов, которые обладают своими особенными характеристиками с разной архитектурой. Но те образцы, которые будут рассматриваться в рамках статьи, представляют интерес благодаря тому, что они играют показательную роль в своей нише устройств такой специфики. Поэтому давайте будем изучать принцип работы АЦП, а также его зависимость от физического устройства.

Прямые аналого-цифровые преобразователи

Они стали весьма популярными в 60-70-х годах прошлого столетия. В виде производятся с 80-х гг. Это весьма простые, даже примитивные устройства, которые не могут похвастаться значительными показателями. Их разрядность обычно составляет 6-8 бит, а скорость редко превышает 1 GSPS.

Принцип работы АЦП данного типа таков: на плюсовые входы компараторов одновременно поступает входной сигнал. На минусовые выводы подается напряжение определённой величины. А затем устройство определяет свой режим работы. Это делается благодаря опорному напряжению. Допустим, что у нас есть устройство, где 8 компараторов. При подаче ½ опорного напряжения будет включено только 4 из них. Приоритетным шифратором сформируется который и зафиксируется выходным регистром. Относительно достоинств и недостатков можно сказать, работы позволяет создавать быстродействующие устройства. Но для получения необходимой разрядности приходится сильно попотеть.

Общая формула количества компараторов выглядит таким образом: 2^N. Под N необходимо поставить количество разрядов. Рассматриваемый ранее пример можно использовать ещё раз: 2^3=8. Итого для получения третьего разряда необходимо 8 компараторов. Таков принцип работы АЦП, которые были созданы первыми. Не очень удобно, поэтому в последующем появились другие архитектуры.

Аналого-цифровые преобразователи последовательного приближения

Здесь используется алгоритм «взвешивания». Сокращенно устройства, работающие по такой методике, называют просто АЦП последовательного счета. Принцип работы таков: устройством измеряется величина входного сигнала, а потом она сравнивается с числами, которые генерируются по определённой методике:

  1. Устанавливается половина возможного опорного напряжения.
  2. Если сигнал преодолел предел величины из пункта №1, то сравнивается с числом, которое лежит посредине между оставшимся значением. Так, в нашем случае это будет ¾ опорного напряжения. Если опорный сигнал не дотягивает до этого показателя, то сравнение будет проводиться с другой частью интервала по такому же принципу. В данном примере это ¼ опорного напряжения.
  3. Шаг 2 необходимо повторить Н раз, что даст нам Н бит результата. Это благодаря проведению Н количества сравнений.

Данный принцип работы позволяет получать устройства с относительной высокой скоростью преобразования, которыми и являются АЦП последовательного приближения. Принцип работы, как видите, прост, и данные приборы отлично подходят для различных случаев.

Параллельные аналого-цифровые преобразователи

Они работают подобно последовательным устройствам. Формула расчета - (2^Н)-1. Для рассматриваемого ранее случая нам понадобится (2^3)-1 компараторов. Для работы используется определённый массив этих устройств, каждое из которых может сравнивать входное и индивидуальное опорное напряжение. Параллельные аналого-цифровые преобразователи являются довольно быстрыми приборами. Но принцип построения этих устройств таков, что для поддержки их работоспособности необходима значительная мощность. Поэтому использовать их при батарейном питании нецелесообразно.

Аналого-цифровой преобразователь с поразрядным уравновешиванием

Он действует по похожей схеме, что и предыдущее устройство. Поэтому чтобы объяснить функционирование АЦП поразрядного уравновешивания, принцип работы для начинающих будет рассмотрен буквально на пальцах. В основе данных устройств лежит явление дихотомии. Иными словами, проводится последовательное сравнение измеряемой величины с определённой частью максимального значения. Могут браться значения в ½, 1/8, 1/16 и так далее. Поэтому аналого-цифровой преобразователь может выполнить весь процесс за Н итераций (последовательных шагов). Причем Н равняется разрядности АЦП (посмотрите на ранее приведённые формулы). Таким образом, мы имеем значительный выигрыш во времени, если особенно важным является быстродействие техники. Несмотря на значительную скорость, эти устройства также характеризуются низкой статической погрешностью.

Аналого-цифровые преобразователи с балансировкой заряда (дельта-сигма)

Это самый интересный тип устройства, не в последнюю очередь благодаря своему принципу работы. Он заключается в том, что происходит сравнение входного напряжения с тем, что накопилось интегратором. На вход подаются импульсы с отрицательной или положительной полярностью (всё зависит от результата предыдущей операции). Таким образом, можно сказать, что подобный аналого-цифровой преобразователь является простой следящей системой. Но это только как пример для сравнения, чтобы вы могли понимать, АЦП. Принцип работы системный, но для результативного функционирования этого аналого-цифрового преобразователя мало. Конечным результатом является нескончаемый поток единиц и нулей, который идёт через цифровой ФНЧ. Из них формируется определённая битная последовательность. Различают АЦП-преобразователи первого и второго порядков.

Интегрирующие аналого-цифровые преобразователи

Это последний частный случай, который будет рассмотрен в рамках статьи. Далее мы будем описывать принцип работы данных устройств, но уже на общем уровне. Этот АЦП является аналого-цифровым преобразователем с двухтактным интегрированием. Встретить подобное устройство можно в цифровом мультиметре. И это не удивительно, ведь они обеспечивают высокую точность и одновременно хорошо подавляют помехи.

Теперь давайте сосредоточимся на его принципе работы. Он заключается в том, что входным сигналом заряжается конденсатор на протяжении фиксированного времени. Как правило, этот период составляет единицу частоты сети, которая питает устройство (50 Гц или 60 Гц). Также он может быть кратным. Таким образом, подавляются высокочастотные помехи. Одновременно нивелируется влияние нестабильного напряжения сетевого источника получения электроэнергии на точность полученного результата.

Когда оканчивается время заряда аналого-цифрового преобразователя, конденсатор начинает разряжаться с определённой фиксированной скоростью. Внутренний счетчик устройства считает количество тактовых импульсов, которые формируются во время этого процесса. Таким образом, чем больше временной промежуток, тем значительнее показатели.

АЦП двухтактного интегрирования обладают высокой точностью и Благодаря этому, а также сравнительно простой структуре построения они выполняются как микросхемы. Основной недостаток такого принципа работы - зависимость от показателя сети. Помните, что его возможности привязаны к длительности частотного периода источника питания.

Вот как устроен АЦП двойного интегрирования. Принцип работы данного устройства хотя и является довольно сложным, но он обеспечивает качественные показатели. В некоторых случаях такое бывает просто необходимым.

Выбираем АПЦ с необходимым нам принципом работы

Допустим, перед нами стоит определенная задача. Какое выбрать устройство, чтобы оно могло удовлетворить все наши запросы? Для начала давайте поговорим про разрешающую способность и точность. Очень часто их путают, хотя на практике они очень слабо зависят один от второго. Запомните, что 12-разрядный аналого-цифровой преобразователь может иметь меньшую точность, чем 8-разрядный. В этом случае разрешение - это мера того, какое количество сегментов может быть выделено с входного диапазона измеряемого сигнала. Так, 8-разрядные АЦП обладают 2 8 =256 такими единицами.

Точность - это суммарное отклонение полученного результата преобразования от идеального значения, которое должно быть при данном входном напряжении. То есть первый параметр характеризует потенциальные возможности, которые имеет АЦП, а второй показывает, что же мы имеем на практике. Поэтому нам может подойти и более простой тип (например, прямые аналого-цифровые преобразователи), который позволит удовлетворить потребности благодаря высокой точности.

Чтобы иметь представление о том, что нужно, для начала необходимо просчитать физические параметры и построить математическую формулу взаимодействия. Важными в них являются статические и динамические погрешности, ведь при использовании различных компонентов и принципов построение устройства они будут по-разному влиять на его характеристики. Более детальную информацию можно обнаружить в технической документации, которую предлагает производитель каждого конкретного прибора.

Пример

Давайте рассмотрим АЦП SC9711. Принцип работы данного устройства сложен ввиду его размера и возможностей. Кстати, говоря о последних, необходимо заметить, что они по-настоящему разнообразные. Так, к примеру, частота возможной работы колеблется от 10 Гц до 10 МГц. Иными словами, оно может делать 10 млн отсчетов в секунду! Да и само устройство не является чем-то цельным, а имеет модульную структуру построения. Но используется оно, как правило, в сложной технике, где необходимо работать с большим количеством сигналов.

Заключение

Как видите, АЦП в своей основе имеют различные принципы работы. Это позволяет нам подбирать устройства, которые удовлетворят возникшие запросы, и при этом позволят разумно распорядиться имеющимися средствами.

В этой статье рассмотрены основные вопросы, касающиеся принципа действия АЦП различных типов. При этом некоторые важные теоретические выкладки, касающиеся математического описания аналого-цифрового преобразования остались за рамками статьи, но приведены ссылки, по которым заинтересованный читатель сможет найти более глубокое рассмотрение теоретических аспектов работы АЦП. Таким образом, статья касается в большей степени понимания общих принципов функционирования АЦП, чем теоретического анализа их работы.

Введение

В качестве отправной точки дадим определение аналого-цифровому преобразованию. Аналого-цифровое преобразование – это процесс преобразования входной физической величины в ее числовое представление. Аналого-цифровой преобразователь – устройство, выполняющее такое преобразование. Формально, входной величиной АЦП может быть любая физическая величина – напряжение, ток, сопротивление, емкость, частота следования импульсов, угол поворота вала и т.п. Однако, для определенности, в дальнейшем под АЦП мы будем понимать исключительно преобразователи напряжение-код.


Понятие аналого-цифрового преобразования тесно связано с понятием измерения. Под измерением понимается процесс сравнения измеряемой величины с некоторым эталоном, при аналого-цифровом преобразовании происходит сравнение входной величины с некоторой опорной величиной (как правило, с опорным напряжением). Таким образом, аналого-цифровое преобразование может рассматриваться как измерение значения входного сигнала, и к нему применимы все понятия метрологии, такие, как погрешности измерения.

Основные характеристики АЦП

АЦП имеет множество характеристик, из которых основными можно назвать частоту преобразования и разрядность. Частота преобразования обычно выражается в отсчетах в секунду (samples per second, SPS), разрядность – в битах. Современные АЦП могут иметь разрядность до 24 бит и скорость преобразования до единиц GSPS (конечно, не одновременно). Чем выше скорость и разрядность, тем труднее получить требуемые характеристики, тем дороже и сложнее преобразователь. Скорость преобразования и разрядность связаны друг с другом определенным образом, и мы можем повысить эффективную разрядность преобразования, пожертвовав скоростью.

Типы АЦП

Существует множество типов АЦП, однако в рамках данной статьи мы ограничимся рассмотрением только следующих типов:

  • АЦП параллельного преобразования (прямого преобразования, flash ADC)
  • АЦП последовательного приближения (SAR ADC)
  • дельта-сигма АЦП (АЦП с балансировкой заряда)
Существуют также и другие типы АЦП, в том числе конвейерные и комбинированные типы, состоящие из нескольких АЦП с (в общем случае) различной архитектурой. Однако приведенные выше архитектуры АЦП являются наиболее показательными в силу того, что каждая архитектура занимает определенную нишу в общем диапазоне скорость-разрядность.

Наибольшим быстродействием и самой низкой разрядностью обладают АЦП прямого (параллельного) преобразования. Например, АЦП параллельного преобразования TLC5540 фирмы Texas Instruments обладает быстродействием 40MSPS при разрядности всего 8 бит. АЦП данного типа могут иметь скорость преобразования до 1 GSPS. Здесь можно отметить, что еще большим быстродействием обладают конвейерные АЦП (pipelined ADC), однако они являются комбинацией нескольких АЦП с меньшим быстродействием и их рассмотрение выходит за рамки данной статьи.

Среднюю нишу в ряду разрядность-скорость занимают АЦП последовательного приближения. Типичными значениями является разрядность 12-18 бит при частоте преобразования 100KSPS-1MSPS.

Наибольшей точности достигают сигма-дельта АЦП, имеющие разрядность до 24 бит включительно и скорость от единиц SPS до единиц KSPS.

Еще одним типом АЦП, который находил применение в недавнем прошлом, является интегрирующий АЦП. Интегрирующие АЦП в настоящее время практически полностью вытеснены другими типами АЦП, но могут встретиться в старых измерительных приборах.

АЦП прямого преобразования

АЦП прямого преобразования получили широкое распространение в 1960-1970 годах, и стали производиться в виде интегральных схем в 1980-х. Они часто используются в составе «конвейерных» АЦП (в данной статье не рассматриваются), и имеют разрядность 6-8 бит при скорости до 1 GSPS.

Архитектура АЦП прямого преобразования изображена на рис. 1

Рис. 1. Структурная схема АЦП прямого преобразования

Принцип действия АЦП предельно прост: входной сигнал поступает одновременно на все «плюсовые» входы компараторов, а на «минусовые» подается ряд напряжений, получаемых из опорного путем деления резисторами R. Для схемы на рис. 1 этот ряд будет таким: (1/16, 3/16, 5/16, 7/16, 9/16, 11/16, 13/16) Uref, где Uref – опорное напряжение АЦП.

Пусть на вход АЦП подается напряжение, равное 1/2 Uref. Тогда сработают первые 4 компаратора (если считать снизу), и на их выходах появятся логические единицы. Приоритетный шифратор (priority encoder) сформирует из «столбца» единиц двоичный код, который фиксируется выходным регистром.

Теперь становятся понятны достоинства и недостатки такого преобразователя. Все компараторы работают параллельно, время задержки схемы равно времени задержки в одном компараторе плюс время задержки в шифраторе. Компаратор и шифратор можно сделать очень быстрыми, в итоге вся схема имеет очень высокое быстродействие.

Но для получения N разрядов нужно 2^N компараторов (и сложность шифратора тоже растет как 2^N). Схема на рис. 1. содержит 8 компараторов и имеет 3 разряда, для получения 8 разрядов нужно уже 256 компараторов, для 10 разрядов – 1024 компаратора, для 24-битного АЦП их понадобилось бы свыше 16 млн. Однако таких высот техника еще не достигла.

АЦП последовательного приближения

Аналого-цифровой преобразователь последовательного приближения (SAR, Successive Approximation Register) измеряет величину входного сигнала, осуществляя ряд последовательных «взвешиваний», то есть сравнений величины входного напряжения с рядом величин, генерируемых следующим образом:

1. на первом шаге на выходе встроенного цифро-аналогового преобразователя устанавливается величина, равная 1/2Uref (здесь и далее мы предполагаем, что сигнал находится в интервале (0 – Uref).

2. если сигнал больше этой величины, то он сравнивается с напряжением, лежащим посередине оставшегося интервала, т.е., в данном случае, 3/4Uref. Если сигнал меньше установленного уровня, то следующее сравнение будет производиться с меньшей половиной оставшегося интервала (т.е. с уровнем 1/4Uref).

3. Шаг 2 повторяется N раз. Таким образом, N сравнений («взвешиваний») порождает N бит результата.

Рис. 2. Структурная схема АЦП последовательного приближения.

Таким образом, АЦП последовательного приближения состоит из следующих узлов:

1. Компаратор. Он сравнивает входную величину и текущее значение «весового» напряжения (на рис. 2. обозначен треугольником).

2. Цифро-аналоговый преобразователь (Digital to Analog Converter, DAC). Он генерирует «весовое» значение напряжения на основе поступающего на вход цифрового кода.

3. Регистр последовательного приближения (Successive Approximation Register, SAR). Он осуществляет алгоритм последовательного приближения, генерируя текущее значение кода, подающегося на вход ЦАП. По его названию названа вся данная архитектура АЦП.

4. Схема выборки-хранения (Sample/Hold, S/H). Для работы данного АЦП принципиально важно, чтобы входное напряжение сохраняло неизменную величину в течение всего цикла преобразования. Однако «реальные» сигналы имеют свойство изменяться во времени. Схема выборки-хранения «запоминает» текущее значение аналогового сигнала, и сохраняет его неизменным на протяжении всего цикла работы устройства.

Достоинством устройства является относительно высокая скорость преобразования: время преобразования N-битного АЦП составляет N тактов. Точность преобразования ограничена точностью внутреннего ЦАП и может составлять 16-18 бит (сейчас стали появляться и 24-битные SAR ADC, например, AD7766 и AD7767).

Дельта-сигма АЦП

И, наконец, самый интересный тип АЦП – сигма-дельта АЦП, иногда называемый в литературе АЦП с балансировкой заряда. Структурная схема сигма-дельта АЦП приведена на рис. 3.

Рис.3. Структурная схема сигма-дельта АЦП.

Принцип действия данного АЦП несколько более сложен, чем у других типов АЦП. Его суть в том, что входное напряжение сравнивается со значением напряжения, накопленным интегратором. На вход интегратора подаются импульсы положительной или отрицательной полярности, в зависимости от результата сравнения. Таким образом, данный АЦП представляет собой простую следящую систему: напряжение на выходе интегратора «отслеживает» входное напряжение (рис. 4). Результатом работы данной схемы является поток нулей и единиц на выходе компаратора, который затем пропускается через цифровой ФНЧ, в результате получается N-битный результат. ФНЧ на рис. 3. Объединен с «дециматором», устройством, снижающим частоту следования отсчетов путем их «прореживания».

Рис. 4. Сигма-дельта АЦП как следящая система

Ради строгости изложения, нужно сказать, что на рис. 3 изображена структурная схема сигма-дельта АЦП первого порядка. Сигма-дельта АЦП второго порядка имеет два интегратора и две петли обратной связи, но здесь рассматриваться не будет. Интересующиеся данной темой могут обратиться к .

На рис. 5 показаны сигналы в АЦП при нулевом уровне на входе (сверху) и при уровне Vref/2 (снизу).

Рис. 5. Сигналы в АЦП при разных уровнях сигнала на входе.

Теперь, не углубляясь в сложный математический анализ, попробуем понять, почему сигма-дельта АЦП обладают очень низким уровнем собственных шумов.

Рассмотрим структурную схему сигма-дельта модулятора, изображенную на рис. 3, и представим ее в таком виде (рис. 6):

Рис. 6. Структурная схема сигма-дельта модулятора

Здесь компаратор представлен как сумматор, который суммирует непрерывный полезный сигнал и шум квантования.

Пусть интегратор имеет передаточную функцию 1/s. Тогда, представив полезный сигнал как X(s), выход сигма-дельта модулятора как Y(s), а шум квантования как E(s), получаем передаточную функцию АЦП:

Y(s) = X(s)/(s+1) + E(s)s/(s+1)

То есть, фактически сигма-дельта модулятор является фильтром низких частот (1/(s+1)) для полезного сигнала, и фильтром высоких частот (s/(s+1)) для шума, причем оба фильтра имеют одинаковую частоту среза. Шум, сосредоточенный в высокочастотной области спектра, легко удаляется цифровым ФНЧ, который стоит после модулятора.

Рис. 7. Явление «вытеснения» шума в высокочастотную часть спектра

Однако следует понимать, что это чрезвычайно упрощенное объяснение явления вытеснения шума (noise shaping) в сигма-дельта АЦП.

Итак, основным достоинством сигма-дельта АЦП является высокая точность, обусловленная крайне низким уровнем собственного шума. Однако для достижения высокой точности нужно, чтобы частота среза цифрового фильтра была как можно ниже, во много раз меньше частоты работы сигма-дельта модулятора. Поэтому сигма-дельта АЦП имеют низкую скорость преобразования.

Они могут использоваться в аудиотехнике, однако основное применение находят в промышленной автоматике для преобразования сигналов датчиков, в измерительных приборах, и в других приложениях, где требуется высокая точность. но не требуется высокой скорости.

Немного истории

Самым старым упоминанием АЦП в истории является, вероятно, патент Paul M. Rainey, «Facsimile Telegraph System,» U.S. Patent 1,608,527, Filed July 20, 1921, Issued November 30, 1926. Изображенное в патенте устройство фактически является 5-битным АЦП прямого преобразования.

Рис. 8. Первый патент на АЦП

Рис. 9. АЦП прямого преобразования (1975 г.)

Устройство, изображенное на рисунке, представляет собой АЦП прямого преобразования MOD-4100 производства Computer Labs, 1975 года выпуска, собранный на основе дискретных компараторов. Компараторов 16 штук (они расположены полукругом, для того, чтобы уравнять задержку распространения сигнала до каждого компаратора), следовательно, АЦП имеет разрядность всего 4 бита. Скорость преобразования 100 MSPS, потребляемая мощность 14 ватт.

На следующем рисунке изображена продвинутая версия АЦП прямого преобразования.

Рис. 10. АЦП прямого преобразования (1970 г.)

Устройство VHS-630 1970 года выпуска, произведенное фирмой Computer Labs, содержало 64 компаратора, имело разрядность 6 бит, скорость 30MSPS и потребляло 100 ватт (версия 1975 года VHS-675 имела скорость 75 MSPS и потребление 130 ватт).

Литература

W. Kester. ADC Architectures I: The Flash Converter. Analog Devices, MT-020 Tutorial.



Просмотров